【Verilog HDL】FPGA-testbench基础知识

news/2024/5/19 13:57:02/文章来源:https://blog.csdn.net/m0_56262476/article/details/131432487

🎉欢迎来到FPGA专栏~testbench基础知识


  • ☆* o(≧▽≦)o *☆~我是小夏与酒🍹
  • 博客主页:小夏与酒的博客
  • 🎈该系列文章专栏:FPGA学习之旅
  • 文章作者技术和水平有限,如果文中出现错误,希望大家能指正🙏
  • 📜 欢迎大家关注! ❤️
    FPGQ2

CSDN

🎉 目录-testbench基础知识

  • 一、说明
  • 二、testbench简单理解
  • 三、testbench文件结构
    • 3.1 声明仿真的单位和精度
    • 3.2 宏定义
    • 3.3 定义测试模块名
    • 3.4 声明信号
    • 3.5 模块实例化
    • 3.6 使用initial或always产生激励信号
    • 3.7 完整的testbench代码
  • 四、补充语法
    • 4.1 repeat循环
    • 4.2 forever循环
    • 4.3 仿真控制任务$stop

遇见未来

一、说明

📜在开发FPGA的过程中,需要掌握Verilog的核心语法并编写高质量的代码。【Verilog HDL】系列的文章便专注于Verilog语法的讲解和分析。文章参考书籍:《Verilog HDL设计实用教程》

Verilog文件基本结构的知识学习参考文章:【Verilog HDL】FPGA-Verilog文件的基本结构。

在学习FPGA的过程中,既要学会使用开发工具、软件,会编写Verilog HDL,更要学会编写测试激励文件来对模块进行测试。本编文章讲解testbench文件的基础知识点

二、testbench简单理解

testbench,即测试平台、测试模块,有时也称为测试激励文件

testbench的功能就是产生一些激励信号,施加到待测试的设计模块上,然后观察在这些激励信号的作用下模块的响应输出结果并分析正确性。(详细地说就是给待验证的设计添加激励,同时观察它的输出响应是否符合设计要求。)

testbench功能实现的大概流程图:
流程图
📜以简单的思维方式理解testbench:

🔸目前的待测模块就是一个黑箱子,你不清楚它的内部结构,这个黑箱子只留出了信号输入端口和信号输出端口,只能在输入端对它施加激励,并在输出端观察输出信号。

🔸而testbench就相当于一张实验室的桌子,桌子上放着信号产生器、程控电源等(激励信号);放着电路黑箱子(待测模块);示波器等观察信号的仪器(观察响应);以及若干杜邦线或者导线等。

🔸我们将信号产生器的信号输出接入黑箱子的信号输入端,将示波器的信号线与黑箱子的输出端相连,打开信号产生器和示波器,改变输入信号,并在示波器上观察结果。

三、testbench文件结构

testbench文件的基本结构如下所示:

待测模块_tb.v:

//------<testbench文件的基本结构>------//`timescale 仿真单位/仿真精度
`timescale xx/xx//仿真文件模块名
module xx_tb;//定义声明信号或变量......//例化设计模块......//使用always语句产生激励波形......//使用initial产生激励波形initial begin.........$stop;endendmodule

🎈举个例子详细说明一下:
目前已经编写好了一个led闪烁的模块,我们需要对该模块进行测试,以确保模块设计的正确性,模块代码如下:

led_flash.v:

//------<模块代码>------
module led_flash(input 		Clk;input 		Rst_n;output reg	led
);//定义led状态parameter led_on  = 1'b0;parameter led_off = 1'b1; //定义计数器寄存器reg [24:0]cnt;//定义计数器参数parameter cnt_max = 24'd24_999_999;parameter cnt_min = 24'd0;parameter cnt_add = 1'b1;//计数器always@(posedge Clk or negedge Rst_n)beginif(!Rst_n)cnt <= cnt_min;else if(cnt == cnt_max)cnt <= cnt_min;elsecnt <= cnt + cnt_add;end//ledalways@(posedge Clk or negedge Rst_n)beginif(!Rst_n)led <= led_off;else if(cnt == cnt_max)led <= ~led;elseled <= led;endendmodule

接下来根据上述模块编写测试激励文件。

3.1 声明仿真的单位和精度

`timescale时间刻度指令用来说明模块工作的时间单位时间精度,基本语句如下:

`timescale 时间单位/时间精度

时间单位和时间精度可以以秒、毫秒、纳秒、皮秒或飞秒作为度量,具体数值可以选择1、10或100,如:

`timescale 10ns/1ns

该语句定义了当前模块中的仿真时间单位是10ns,仿真精度是1ns语法上要求时间精度必须小于等于时间单位,即前面的数值要大于等于后面的数值

测试模块中经常使用 #号 延迟来生成信号,例如:

`timescale 10ns/1ns
...
initialbeginA = 0;B = 0;//初始值#4 A = 1;//4时间单位后,即40ns#5 B = 1;//5时间单位后,即50ns#6 A = 0;//6时间单位后,即60nd#7 $stop;//7时间单位后,即70nsend

又如:

`timescale 1ns/1ns

当代码中出现#10时,代表的意思是延时10ns,由于仿真的精度为1ns,所以最低的延时精度只能到1ns,如果想要延时10.001ns,则需要更改仿真的精度(1ns=1000ps),代码如下:

`timescale 1ns/1ps
#10.001 rst_n = 0;

为了测试上述led闪烁模块,定义如下时间单位和时间精度:

`timescale 1ns/1ns

3.2 宏定义

宏定义采用 `define 来进行指定,把某个指定的标识符用来代表一个字符串,整个标识符在整个文件中都表示所指代的字符串,语法如下:

`define 标识符 字符串  //注意句末无分号

对于上述led闪烁模块,需要产生一个时钟信号给它,为了方便进行全文的修改,我们对时钟信号的周期进行宏定义

`define clock_period 20

如果不想让宏定义生效,可以使用 `undef 指令取消前面定义的宏:

`define WIDTH 16`undef WIDTH  //此条语句之后,WIDTH失效reg [`WIDTH-1:0] data;  //报错,因为宏定义已经取消

3.3 定义测试模块名

定义模块名的关键字为module,同时测试模块以endmodule结束,代码如下:

module led_flash_tb;.........
endmodule

模块名的命名方式一般在被测模块名后面加上 _tb,或者在被测模块名前面加上tb_,表示为哪个模块提供激励测试文件,通常激励文件不需要定义输入和输出端口。代码中定义的常量有时需要频繁的修改,为了方便修改,可以把常量定义成参数的形式,定义参数的关键字为 parameter

3.4 声明信号

在testbench中,信号常用的类型为reg类型wire类型reg类型表示激励信号,wire类型表示输出信号。

定义led闪烁测试模块中的输入和输出信号:

//时钟信号和复位信号均需要输入给待测模块
reg Clk;
reg Rst_n;//led信号为待测模块的输出信号
wire led;

3.5 模块实例化

例化的设计模块是指被测模块,例化被测模块的目的是把被测模块和激励模块实例化起来,并且把被测模块的端口与激励模块的端口进行相应的连接,使得激励可以输入到被测模块。

如果被测模块是由多个模块组成的,激励模块中只需要例化多个模块的顶层模块

将led闪烁模块实例化:

led_flash led_flash0(.Clk(Clk);.Rst_n(Rst_n);.led(led)
);

在实例化模块中,左侧带“.” 的信号为 led 模块定义的端口信号,右侧括号内的信号为激励模块中定义的信号,其信号名可以和被测模块中的信号名一致,也可以不一致,命名一致的好处是便于理解激励模块和被测模块信号之间的对应关系

3.6 使用initial或always产生激励信号

产生时钟激励信号:

initial Clk = 1;
always#(`clock_period/2) Clk = ~Clk;

产生led闪烁测试模块的其余激励信号:

initial beginRst_n = 0;#200Rst_n = 1;#(`clock_period*200)$stop;
end

3.7 完整的testbench代码

led_flash_tb.v:

//------<测试代码>------
`timescale 1ns/1ns
`define clock_period 20module led_flash_tb;reg Clk;reg Rst_n;wire led;led_flash led_flash0(.Clk(Clk);.Rst_n(Rst_n);.led(led));initial Clk = 1;always#(`clock_period/2) Clk = ~Clk;initial beginRst_n = 0;#200Rst_n = 1;#(`clock_period*200)$stop;endendmodule

四、补充语法

repeat循环和forever循环语句只适用于testbench的编写

4.1 repeat循环

repeat循环的功能是把循环体语句执行某些次数,基本格式:

repeat(次数)
begin循环体语句
end

该语句的基本使用案例链接:【FPGA零基础学习之旅#7】BCD计数器设计。

测试代码:

`timescale 1ns/1ns
`define clock_period 20module BCD_Counter_tb;reg Clk;reg Rst_n;reg Cin;wire Cout;wire [3:0]q;BCD_Counter BCD_Counter0(.Clk(Clk),		//系统时钟信号.Rst_n(Rst_n),	//系统复位信号.Cin(Cin),		//进位输入信号.Cout(Cout),		//进位输出信号.q(q)			//计数器值);initial Clk = 1;always#(`clock_period/2) Clk = ~Clk;initial beginRst_n = 1'b0;Cin = 1'b0;#(`clock_period*20);Rst_n = 1;#(`clock_period*20);repeat(30)beginCin = 1'b1;#(`clock_period*1);Cin = 1'b0;#(`clock_period*5);end#(`clock_period*20);$stop;endendmodule

其中,该部分代码表示执行循环体中的语句30次,且循环体的语句表示生成一个占空比为1:5的脉冲信号:

repeat(30)beginCin = 1'b1;#(`clock_period*1);Cin = 1'b0;#(`clock_period*5);end

脉冲信号是一种离散信号,形状多种多样,与普通模拟信号(如正弦波)相比,波形之间在Y轴不连续(波形与波形之间有明显的间隔),但具有一定的周期性是它的特点。最常见的脉冲波是矩形波(也就是方波)。脉冲信号可以用来表示信息,也可以用来作为载波,比如脉冲调制中的脉冲编码调制(PCM)、脉冲宽度调制(PWM)等等,还可以作为各种数字电路、高性能芯片的时钟信号。

4.2 forever循环

forever循环表示永远循环,直到仿真结束为止,相当于判断条件永远为真。forever循环语句中需要添加时序控制,否则就会陷入死循环。

可以用forever循环语句来编写文章【FPGA零基础学习之旅#9】状态机基础知识中Hello例程的testbench:

reg [7:0]ASCII;Hello Hello0(.Clk(Clk),.Rst_n(Rst_n),.data(ASCII),.led(led)
);initial begin
Rst_n = 0;
ASCII = 0;
#(`clock_period*20);
Rst_n = 1;
#(`clock_period*20 + 1);forever beginASCII = "I";#(`clock_period);ASCII = "A";#(`clock_period);ASCII = "M";#(`clock_period);ASCII = "X";#(`clock_period);ASCII = "i";#(`clock_period);ASCII = "a";#(`clock_period);ASCII = "o"; #(`clock_period);ASCII = "M";#(`clock_period);ASCII = "e";#(`clock_period);ASCII = "i";#(`clock_period);ASCII = "g";#(`clock_period);ASCII = "e"; #(`clock_period);ASCII = "H";#(`clock_period);ASCII = "E";#(`clock_period);ASCII = "M";#(`clock_period);ASCII = "l";#(`clock_period);ASCII = "H";#(`clock_period);ASCII = "E";#(`clock_period);ASCII = "L";#(`clock_period);ASCII = "L";#(`clock_period);ASCII = "O";#(`clock_period);ASCII = "H";#(`clock_period);ASCII = "e";#(`clock_period);ASCII = "l";#(`clock_period);ASCII = "l";#(`clock_period);ASCII = "o";#(`clock_period);ASCII = "l"; endend

比较常见的还有使用forever循环生成时钟信号,如:

//---<forever循环生成时钟信号>---
initial beginClk = 1;forever #10 Clk = ~Clk;
end

同时,使用always块生成时钟信号的代码:

//---<always块生成时钟信号>---
initial Clk = 1;
always #10 Clk = ~Clk;

上述的两种写法都是生成了周期为20个时间单位Clk信号,效果是一样的。两种写法均可以在测试模块中使用,并无优劣之分

4.3 仿真控制任务$stop

$stop的功能是停止当前仿真,注意是停止,而不是退出,仿真器会把仿真到该语句的仿真运行完,然后停止仿真(任务$finish的功能则是停止仿真并退出仿真器),等待下一步命令,此时依然停留在仿真器的仿真界面中,一些仿真窗口(例如波形窗口等)依然保留着,仿真的结果也是保留的。

设计者在自己的计算机上完成仿真而且代码规模较小时,一般都是使用$stop任务作为仿真结束的标志语句,然后根据仿真窗口来查看仿真结果。

需要注意的是,如果使用了forever循环语句产生持续不断的信号时,不需要在仿真中使用$stop,例如上述中Hello例程的完整testbench:

Hello_tb.v.:

`timescale 1ns/1ns
`define clock_period 20module Hello_tb;reg Clk;reg Rst_n;reg [7:0]ASCII;wire led;	Hello Hello0(.Clk(Clk),.Rst_n(Rst_n),.data(ASCII),.led(led));initial Clk = 1;always#(`clock_period/2)Clk = ~Clk;initial beginRst_n = 0;ASCII = 0;#(`clock_period*200);Rst_n = 1;#(`clock_period*200 + 1);forever beginASCII = "I";#(`clock_period);ASCII = "A";#(`clock_period);ASCII = "M";#(`clock_period);ASCII = "X";#(`clock_period);ASCII = "i";#(`clock_period);ASCII = "a";#(`clock_period);ASCII = "o";	#(`clock_period);ASCII = "M";#(`clock_period);ASCII = "e";#(`clock_period);ASCII = "i";#(`clock_period);ASCII = "g";#(`clock_period);ASCII = "e";	#(`clock_period);ASCII = "H";#(`clock_period);ASCII = "E";#(`clock_period);ASCII = "M";#(`clock_period);ASCII = "l";#(`clock_period);ASCII = "H";#(`clock_period);ASCII = "E";#(`clock_period);ASCII = "L";#(`clock_period);ASCII = "L";#(`clock_period);ASCII = "O";#(`clock_period);ASCII = "H";#(`clock_period);ASCII = "e";#(`clock_period);ASCII = "l";#(`clock_period);ASCII = "l";#(`clock_period);ASCII = "o";#(`clock_period);ASCII = "l";		endendendmodule

csdn

🧸结尾


  • ❤️ 感谢您的支持和鼓励! 😊🙏
  • 📜您可能感兴趣的内容:
  • 【FPGA零基础学习之旅#9】状态机基础知识
  • 【FPGA零基础学习之旅#8】阻塞赋值与非阻塞赋值讲解
  • 【Arduino TinyGo】【最新】使用Go语言编写Arduino-环境搭建和点亮LED灯
  • 【全网首发开源教程】【Labview机器人仿真与控制】Labview与Solidworks多路支配关系-四足爬行机器人仿真与控制
    遇见未来

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.luyixian.cn/news_show_325855.aspx

如若内容造成侵权/违法违规/事实不符,请联系dt猫网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

20230704测试STC32G实验箱9.6(STC32G12K128)开发板的虚拟串口(C语言深入了解)

20230704测试STC32G实验箱9.6&#xff08;STC32G12K128&#xff09;开发板的虚拟串口&#xff08;C语言深入了解&#xff09; 06第五集&#xff1a;C语言运算符和进制数入门上.mp4 07第五集&#xff1a;C语言运算符和进制数入门下.mp4 2023/7/4 19:00 下次 在【冲哥】录视频的时…

PSI算法极简概述

什么是隐私求交PSI 隐私求交是多方安全计算中的密码学技术&#xff0c;它允许数据持有方通过比较加密集合计算得到交集&#xff0c;且任何一方都不会获得其他信息。PSI还存在一种变体&#xff0c;即CS场景。客户端可以获取其与服务器的交集但是服务器无法学习到该集合。如果在…

netty学习(2):多个客户端与服务器通信

1. 基于前面一节netty学习&#xff08;1&#xff09;:1个客户端与服务器通信 只需要把服务器的handler改造一下即可&#xff0c;通过ChannelGroup 找到所有的客户端channel&#xff0c;发送消息即可。 package server;import io.netty.channel.*; import io.netty.channel.gr…

Android Studio实现内容丰富的安卓汽车租赁平台

如需源码可以添加q-------3290510686&#xff0c;也有演示视频演示具体功能&#xff0c;源码不免费&#xff0c;尊重创作&#xff0c;尊重劳动。 项目编号101 1.开发环境 android stuido jdk1.8 eclipse mysql tomcat 2.功能介绍 安卓端&#xff1a; 1.注册登录 2.查看公告 3.查…

登录校验-Filter/过滤器

过滤器 概念&#xff1a;Filter过滤器&#xff0c;是javaweb的三大组件&#xff08;servlet,Filter,Listener&#xff09;之一 作用&#xff1a;可以把对资源的请求拦截下来&#xff0c;从而实现一些特殊的功能 过滤器的常见操作&#xff1a;登录校验&#xff0c;统一编码&…

Word公式大括号左对齐

1、大括号公式如下&#xff1a; 2、依次选中每一行&#xff0c;然后在开头输入一个&&#xff0c;然后回车&#xff1a; 3、当最后一行输入完立马可以发现左对齐了&#xff1a; The higher I got, the more amazed I was by the view.

win下实现Linux的tab自动补全

声明 &#xff1a;如果不是确定的话 注册表这个东西不建议更改 如果更改的话建议先备份系统 以防意外 1.找到注册表编辑器 2. 展开HKEY_LOCAL_MACHINE\SOFTWARE\Microsoft\Command Processor 3.找到Completion Char 双击 把橙色的数值改成9 4.重新打开cmd 就可以了 参考文章…

github上传超过100M的大文件

当上传的工程中有超过100M的文件时&#xff0c;直接上传github会产生如下报错&#xff1a; remote: error: File retinaface-R50/R50-0000.params is 112.54 MB; this exceeds GitHubs file size limit of 100.00 MB! [remote rejected] master -> master (pre-receive ho…

vue打包到生产环境

1.进入到项目根目录执行 npm run build此时会自动打包在dist目录下 2.安装服务 npm install -g serve3.启动 serve dist以上是生产环境打包的过程。 npm run dev 是开发环境, npm run build 是生产环境

微软发布「升级版」多模态大模型 Kosmos-2!新增局部理解能力,解锁实体级交互

夕小瑶科技说 原创 作者 | 小戏、ZenMoore 三个多月前&#xff0c;微软亚洲研究院在论文《Language Is Not All You Need: Aligning Perception with Language Models》中发布了一个强大的多模态大模型 Kosmos-1&#xff0c;成功将感知与语言对齐&#xff0c;在 ChatGPT 的多…

银河麒麟服务器v10 sp1 安装mysql

可以先用 dpkg --list|grep mysql 查看自己的mysql有哪些依赖&#xff1a; 上图已经是安装后的截图&#xff0c;然后再卸载 sudo apt-get autoremove --purge mysql-common 本文在没有安装之前&#xff0c;只有mysql-common包&#xff0c;再用dpkg --list|grep mysql查看&…

HTML5开发工程师岗位的职责说明文(合集)

HTML5开发工程师岗位的职责说明文1 职责&#xff1a; 1、根据产品设计文档和视觉文件&#xff0c;利用HTML5&#xff0c;Javascript相关技术实现web端的界面效果、交互和功能; 2、基于HTML5.0的标准进行页面制作&#xff0c;编写可复用的用户界面组件; 3、负责分析和解决前端…

【Java从入门到大牛】Java快速入门

目录 简单认识JavaJava背景知识Java能做什么Java技术体系 如何使用Java搭建Java开发环境总结 开发第一个Java程序开发过程HelloWorld案例常见错误总结 Java程序的执行原理总结 JDK的组成和跨平台原理JDK的组成Java的跨平台、工作原理总结 JDK安装后设置Path和Java_home环境变量…

【服务器】群辉 NAS 安装 Mysql 远程访问连接

群辉安装MySQL具有高效、安全、可靠、灵活等优势&#xff0c;可以为用户提供一个优秀的数据管理和分析环境。同时具有良好的硬件性能和稳定性&#xff0c;可以保障MySQL数据库的高效运行和数据安全. cpolar 是一款内网穿透工具,通过简单的设置,我们即可实现远程访问群辉中mysq…

FPGA实验二:模可变计数器设计

目录 一、实验目的 二、实验要求 三、实验代码 1.实验源码 2.部分代码设计思路分析 四、实验结果及分析 1、引脚锁定 2、仿真波形及分析 3、下载测试结果及分析 五、实验心得 1.解决实验中遇到的困难及解决 2.完成实验后的心得 一、实验目的 &#xff08;1&#xf…

暑期学JavaScript【第四天】

日期对象 创建 //创建 const date new Date(); // 默认使用现在时间常用方法 时间戳的获取方式 date.getTime()new Date()Date.now() 倒计时案例 <!DOCTYPE html> <html lang"en"> <head><meta charset"UTF-8"><meta htt…

Java中规模软件开发实训——掌握财务自由的关键!解锁智能家庭记账系统的神奇力量!(家庭记账软件)

✨博主&#xff1a;命运之光 &#x1f338;专栏&#xff1a;Python星辰秘典 &#x1f433;专栏&#xff1a;web开发&#xff08;html css js&#xff09; ❤️专栏&#xff1a;Java经典程序设计 ☀️博主的其他文章&#xff1a;点击进入博主的主页 前言&#xff1a;财务自由&am…

简洁清新后台模板推荐(光年)

目录 前言一、后台模板介绍1.作者介绍2.模板介绍 二、界面展示1.登录2.首页3.UI元素4.表单5.工具类6.示例页面7.主题选择 三、入口总结 前言 作为后端开发人员&#xff0c;前端技术确实不精通&#xff0c;也没有太多的精力搞前端。所以一直在搜寻一些现成的模板。最近发现一个…

nginx开启http2导致的服务验证码不可用问题

问题描述: 新搭建了一套开源的系统。通过nginx做了https反向代理后无法显示验证码。 具体报错&#xff1a; Uncaught TypeError: Cannot read properties of null (reading ‘property’) 点击报错后跳转到方法&#xff1a; xhr.getAllResponseHeaders() 问题就出在这个方法&…

TOT(Tree of Thought) | GPT-4+dfs搜索算法提升大模型复杂问题解决能力

大家好&#xff0c;我是HxShine。 今天分享一篇普林斯顿大学的一篇文章&#xff0c;Tree of Thoughts: Deliberate Problem Solving with Large Language Models[1]&#xff1a;思维之树:用大型语言模型解决复杂问题。 这篇工作还是非常有借鉴意义的&#xff0c;OpenAI的Andr…