Verilog功能模块——Uart收发

news/2024/4/29 18:47:53/文章来源:https://blog.csdn.net/weixin_42837669/article/details/127772676

摘要

本文分享了一种通用的Uart收发模块,可实现Uart协议所支持的任意波特率,任意位宽数据(5~8),任意校验位(无校验、奇校验、偶校验、1校验、0校验),任意停止位(1、1.5、2)的数据传输。此模块需要搭配FIFO使用,以消除发送端和接收端波特率不一致导致的累计误差。此模块经过多次测试与实际使用验证,可实现连续10万+数据无间隔连续发送与接收无错误。

关键词:UartFIFOVerilog模块校验位停止位


一. 什么是UART

UART,Universal Asynchronous Receiver/Transmitter,通用异步收发器,它将并行数据转换成串行数据进行传输。我们通常说的UART有两种意思,一种是UART协议,是串口通信采用的通用协议;一种是UART串口通信,指的是TTL电平的串口通信。关于UART和串口通信的关系的详细介绍可参考我的另一篇博客:

串口通信简介——发展历史与基本概念_徐晓康的博客


二. UART协议详解

UART协议由三根线组成,Tx,Rx,Gnd即发送、接收与地,不包含时钟线,属于全双工异步串行通信协议。

UART协议的波特率,Buad,表征数据传输的速率,因为UART协议用3.3V/5V表示逻辑1,用0V表示逻辑0,只有两个有效电平,所以UART协议的波特率与比特率是相等的。这部分属于数据通信的基本概念,可参考我的另一篇博客:数据通信的基本概念_徐晓康的博客。

需要注意的是, UART协议的数据传输双方需要预先约定好使用相同的波特率,这样发送端发出的数据才能被接收端正确出来。

UART协议的时序图:

空闲位:高电平,表示此刻Tx线或Rx线处于空闲状态,没有进行数据传输。

开始位:一个传输时钟周期的低电平,表示数据传输开始。

数据位:UART协议支持一次传输5、6、7或8位,每位占用一个传输时钟周期。

校验位:UART协议共支持五种校验方式:

  1. 无校验,即NONE,不进行校验,此时没有校验位;

  2. 奇校验,即ODD校验,指的是如果数据位中1的个数为奇数,奇校验值为0,否则为1;

  3. 偶校验,即EVEN校验,指的是如果数据位中1的个数为偶数,偶校验值为0,否则为1;

  4. 1校验,即MARK校验,校验位固定为1;

  5. 0校验,即SPACE校验,校验位固定为0。

停止位:停止位表示单次传输结束,停止位可占1 / 1.5 / 2个传输时钟周期。

一帧字符与下一帧字符间可间隔任意个空闲位,也可以完全没有间隔,即停止位后紧跟下一帧的开始位,但这样的话可能在连续传输大量数据时接收数据出错。因为Uart是无时钟的,发送端和接收端的波特率必然存在微小偏差,这导致接收端每一位的长度和发送端是不一样的,所以大量数据的无间隔传输会使得位长误差累加,最终导致接收错位。


三. uart收发模块框图与使用说明

参数:

参数名说明可选值
CLK_FREQ_MHZ此模块的工作时钟频率,以MHz为单位任意正数,默认100
BAUD串口波特率,注意根据板卡uart收发芯片支持的波特率来设置任意正数,默认115200
DATA_BITS串口一帧包含的数据位的位宽,一般的串口芯片只支持数据位宽5/6/7/85,6,7,8(默认)
PARITY校验类型,无校验(默认),奇校验,偶校验,1校验,0校验“NONE”(默认),“ODD”, “EVEN”, “MARK”, “SPACE”
STOP_BITS停止位位宽,1/1.5/21(默认),1.5,2

信号:

信号分组信号名方向说明
与发送FIFO连接的接口tx_cclk_fwft_fifo_8wxxd_emptyinput发送FWFT 8bit任意深度FIFO空接口
tx_cclk_fwft_fifo_8wxxd_dout[7 : 0]input发送FWFT 8bit任意深度FIFO数据输出接口
tx_cclk_fwft_fifo_8wxxd_rd_enoutput发送FWFT 8bit任意深度FIFO读取使能接口
与接收FIFO连接的接口rx_cclk_fwft_fifo_8wxxd_fullinput接收FWFT 8bit任意深度FIFO满接口
rx_cclk_fwft_fifo_8wxxd_din[7 : 0]output接收FWFT 8bit任意深度FIFO数据输入接口
rx_cclk_fwft_fifo_8wxxd_wr_enoutput接收FWFT 8bit任意深度FIFO写入使能接口
接收错误指示rdata_erroroutput指示接收数据错误,高电平有效,
当根据接收数据计算得到的校验位与实际接收的校验位不同时,
置高一个时钟周期
物理引脚uart_txoutputuart发送线
uart_rxinputuart接收线
时钟与复位clkinput模块工作时钟,应输入频率与参数CLK_FREQ_MHZ相等的时钟
rstninput同步复位信号,不连接也可正常工作

使用说明:

此模块需要外接一个发送FWFT 8bit任意深度FIFO一个接收FWFT 8bit任意深度FIFO,FIFO位宽固定为8,即使要发送的数据位宽为5~7,也可以直接写入FIFO,如设定的Uart数据位宽为5,那么将5bit数据写入8bit FIFO中,发送模块也会相应的只取低5位的数据。

当要发送数据时,上层模块只需往发送FIFO中写数据即可,此模块检测到发送FIFO非空时,就会将FIFO中数据发送出去;

此模块会将Uart接收到的数据写入到接收FIFO中,上层模块需要去接收FIFO中读数据以拿到Uart接收到的数据。


四. Uart IP框图与参数设置

可将Uart收发模块封装为IP。


五. 顶层模块代码

/** @Author       : Xu Xiaokang* @Email        : XudaKang_up@qq.com* @Date         : 2022-05-05 11:11:22* @LastEditors  : Xu Xiaokang* @LastEditTime : 2022-11-09 11:17:24* @Filename     :* @Description  :
*//*
! 模块功能: 在uart收发模块外层再封装一层FIFO,包含发送FIFO与接收FIFO,以解决波特率误差导致接收位偏移的问题
* 思路:1.
*/module uartRTUseFIFO
#(parameter CLK_FREQ_MHZ = 100,parameter BAUD         = 115200, // 波特率, 9600, 19200, 38400, 57600, 115200, 230400, 460800, 921600parameter DATA_BITS    = 8,      // 数据位宽度, 可选5, 6, 7, 8parameter PARITY       = "NONE", // 校验 "NONE", "ODD", "EVEN", "MARK", "SPACE"parameter STOP_BITS    = 1       // 停止位宽度可选1, 1.5, 2
)(// 发送数据 FWFT FIFOinput  wire         tx_cclk_fwft_fifo_8wxxd_empty,input  wire [7 : 0] tx_cclk_fwft_fifo_8wxxd_dout,output wire         tx_cclk_fwft_fifo_8wxxd_rd_en,// 接收数据 FWFT FIFOinput  wire         rx_cclk_fwft_fifo_8wxxd_full,output wire [7 : 0] rx_cclk_fwft_fifo_8wxxd_din,output wire         rx_cclk_fwft_fifo_8wxxd_wr_en,output wire rdata_error, // 接收错误output wire uart_tx,input  wire uart_rx,input  wire clk,input  wire rstn
);//++ 实例化串口收发模块 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
wire [DATA_BITS - 1 : 0]  rdata;       // 接收到的数据
wire                      rdata_valid; // 指示接收数据有效; 高电平有效wire [DATA_BITS - 1 : 0]  tdata;       // 要发送的数据
wire                      tdata_valid; // 指示发送数据有效; 此信号上升沿有效
wire                      uart_tx_ready; // 发送准备就绪uartTx #(.CLK_FREQ_MHZ    (CLK_FREQ_MHZ   ),.BAUD            (BAUD           ),.DATA_BITS       (DATA_BITS      ),.PARITY          (PARITY         ),.STOP_BITS       (STOP_BITS      )
) uartTx_dut       (.tdata           (tdata          ),.tdata_valid     (tdata_valid    ),.uart_tx_ready   (uart_tx_ready  ),.uart_tx         (uart_tx        ),.clk             (clk            ),.rstn            (rstn           )
);uartRx #(.CLK_FREQ_MHZ    (CLK_FREQ_MHZ   ),.BAUD            (BAUD           ),.DATA_BITS       (DATA_BITS      ),.PARITY          (PARITY         ),.STOP_BITS       (STOP_BITS      )
) uartRx_dut       (.rdata           (rdata          ),.rdata_valid     (rdata_valid    ),.rdata_error     (rdata_error    ),.uart_rx         (uart_rx        ),.clk             (clk            ),.rstn            (rstn           )
);
//-- 实例化串口收发模块 ------------------------------------------------------------//++ 发送数据FIFO接口连接 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
assign tdata = tx_cclk_fwft_fifo_8wxxd_dout[DATA_BITS - 1 : 0];reg tx_cclk_fwft_fifo_8wxxd_rd_en_temp;
always @(posedge clk) begintx_cclk_fwft_fifo_8wxxd_rd_en_temp <= uart_tx_ready && tdata_valid;
endassign tx_cclk_fwft_fifo_8wxxd_rd_en = ~tx_cclk_fwft_fifo_8wxxd_empty && tx_cclk_fwft_fifo_8wxxd_rd_en_temp;assign tdata_valid = ~tx_cclk_fwft_fifo_8wxxd_empty;
//-- 发送数据FIFO接口连接 ------------------------------------------------------------//++ 接收数据FIFO接口连接 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
reg rdata_valid_r1;
always @(posedge clk) beginrdata_valid_r1 <= rdata_valid;
endassign rdata_valid_pedge = rdata_valid && ~rdata_valid_r1;assign rx_cclk_fwft_fifo_8wxxd_wr_en = ~rx_cclk_fwft_fifo_8wxxd_full && rdata_valid_pedge;
assign rx_cclk_fwft_fifo_8wxxd_din = rdata;
//-- 接收数据FIFO接口连接 ------------------------------------------------------------endmodule

六. 回环测试示例

回环测试顶层模块代码

/** @Author       : Xu Xiaokang* @Email        : xuxiaokang_up@qq.com* @Date         : 2022-10-31 16:53:45* @LastEditors  : Xu Xiaokang* @LastEditTime : 2022-11-09 11:13:46* @Filename     :* @Description  :
*//*
! 模块功能: uart收发,实现环路测试,即将接收到的数据发出来
* 思路:1.
*/module uartLoopTop
(input  logic uart_rx,output logic uart_tx,input logic fpga_input_clk_p,input logic fpga_input_clk_n,input logic rstn
);//++ 时钟与复位 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
logic clk;
clk_wiz_0  clk_wiz_0_u0 (.clk_in1_p (fpga_input_clk_p),.clk_in1_n (fpga_input_clk_n),.clk_out1  (clk        )
);
//-- 时钟与复位 ------------------------------------------------------------// ++ 参数设置 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
localparam CLK_FREQ_MHZ = 100;
localparam BAUD         = 115200; // 波特率, 9600, 19200, 38400, 57600, 115200, 230400, 460800, 921600
localparam DATA_BITS    = 8;      // 数据位宽度, 可选5, 6, 7, 8
localparam PARITY       = "ODD";  // 校验 "NONE", "ODD", "EVEN", "MARK", "SPACE"
localparam STOP_BITS    = 2;      // 停止位宽度, 可选1, 1.5, 2
// -- 参数设置 ------------------------------------------------------------//++ 实例化uart模块 ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
(* mark_debug *) logic rx_cclk_fwft_fifo_8wxxd_full;
(* mark_debug *) logic [7 : 0] rx_cclk_fwft_fifo_8wxxd_din;
(* mark_debug *) logic rx_cclk_fwft_fifo_8wxxd_wr_en;
(* mark_debug *) logic rdata_error;(* mark_debug *) logic tx_cclk_fwft_fifo_8wxxd_empty;
(* mark_debug *) logic [7 : 0] tx_cclk_fwft_fifo_8wxxd_dout;
(* mark_debug *) logic tx_cclk_fwft_fifo_8wxxd_rd_en;uartRTUseFIFO #(.CLK_FREQ_MHZ (CLK_FREQ_MHZ),.BAUD         (BAUD),.DATA_BITS    (DATA_BITS),.PARITY       (PARITY),.STOP_BITS    (STOP_BITS)
) uartRTUseFIFO_u0 (.rx_cclk_fwft_fifo_8wxxd_full  (rx_cclk_fwft_fifo_8wxxd_full ),.rx_cclk_fwft_fifo_8wxxd_din   (rx_cclk_fwft_fifo_8wxxd_din  ),.rx_cclk_fwft_fifo_8wxxd_wr_en (rx_cclk_fwft_fifo_8wxxd_wr_en),.rdata_error                   (rdata_error                  ),.tx_cclk_fwft_fifo_8wxxd_empty (tx_cclk_fwft_fifo_8wxxd_empty),.tx_cclk_fwft_fifo_8wxxd_dout  (tx_cclk_fwft_fifo_8wxxd_dout ),.tx_cclk_fwft_fifo_8wxxd_rd_en (tx_cclk_fwft_fifo_8wxxd_rd_en),.uart_tx                       (uart_tx                      ),.uart_rx                       (uart_rx                      ),.clk                           (clk                          ),.rstn                          (rstn                         )
);
//-- 实例化uart模块 ------------------------------------------------------------//++ 实例化FWFT FIFO ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
cclk_fwft_fifo_8w1024d cclk_fwft_fifo_8w1024d_u0 (.clk   (clk  ), // input wire clk.srst  (~rstn ), // input wire srst.din   (rx_cclk_fwft_fifo_8wxxd_din  ), // input wire [7  : 0] din.wr_en (rx_cclk_fwft_fifo_8wxxd_wr_en), // input wire wr_en.full  (rx_cclk_fwft_fifo_8wxxd_full ), // output wire full.rd_en (tx_cclk_fwft_fifo_8wxxd_rd_en), // input wire rd_en.dout  (tx_cclk_fwft_fifo_8wxxd_dout ), // output wire [7 : 0] dout.empty (tx_cclk_fwft_fifo_8wxxd_empty)  // output wire empty
);
//-- 实例化FWFT FIFO ------------------------------------------------------------endmodule

测试用的FPGA板卡:明德扬MP5620,板上串口转USB芯片为SILICON LABS公司的CP2102-GM,其支持的串口协议如下图所示:

使用的串口上位机工具:正点原子XCOM V2.6。

需要特别注意的是:上位机的波特率与Verilog模块设置的波特率是有一定差距的,这个差距的原因可能是上位机设置的波特率不准,也可能是因为波特率设置是整数时钟分频得到的,无法精确到小数位,所以上位机和Verilog模块间uart的波特率并不是严格一致的,可能是115200与115201的区别,正常情况这么小的差距数据也能被正常识别。但是XCOM在一次性发送多帧时,两帧之间是没有任何间隔的,这使得在连续传输多帧后,接收数据错位。

测试界面如下:

在115200波特率,8数据位,ODD校验,2停止位的条件下,回环测试通过。接着更改参数(需要USB转串口的芯片支持该组参数),进行其它条件下的试验,均无问题。

在连续发送10万个字节数据时,仍能返回正确的数据,这是因为加入了FIFO,波特率误差被FIFO缓冲消除了,如果不加FIFO且无间隔的发送数据,则连续发送10几个数据就可能发生接收错位,使得返回数据与发送数据不一致。


七. 模块代码与工程分享

模块代码自取:Verilog功能模块——Uart收发 · 徐晓康/Verilog功能模块 - 码云 - 开源中国 (gitee.com)

工程分享(内含所有模块代码):

K7TryUart. Uart回环测试 Vivado2021.2工程。

欢迎大家关注我的公众号:徐晓康的博客,回复以下代码获取。

下载|4623

建议复制过去不会码错字!


徐晓康的博客持续分享高质量硬件、FPGA与嵌入式知识,软件,工具等内容,欢迎大家关注。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.luyixian.cn/news_show_223823.aspx

如若内容造成侵权/违法违规/事实不符,请联系dt猫网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

前端反爬思考,好友从百度搜到了我的文章,链接却是别人的

今天感叹可以改完八阿哥早点下班&#xff0c;在吃饭的时候&#xff0c;就想着自己也写了一段时间了&#xff0c;看看百度这个强大的引擎能不能搜到我的博客文章。 1、发现文章被爬走了 吃饭的时候用手机搜的&#xff0c;感觉还挺开心&#xff0c;我还给朋友炫耀&#xff0c;你看…

Import Error: from torchtext.data import to_map_style_dataset解决方案

大家好,我是爱编程的喵喵。双985硕士毕业,现担任全栈工程师一职,热衷于将数据思维应用到工作与生活中。从事机器学习以及相关的前后端开发工作。曾在阿里云、科大讯飞、CCF等比赛获得多次Top名次。喜欢通过博客创作的方式对所学的知识进行总结与归纳,不仅形成深入且独到的理…

电子统计台账:快速设置产品的排除与保留

目录 1 基础操作 2 设置垂直过滤模板 2.1 排除法 2.2 保留法 3 完成其他设置 4 小提示&#xff1a;项目导入导出 实践中&#xff0c;企业数据文件中可能有很多产品&#xff0c;中间混杂诸如“累计”、“合计”、“报表人”、“企业负责人”等信息。我们需要用简单的操作完…

洛谷千题详解 | P1018 [NOIP2000 提高组] 乘积最大【C++、Python、Java、pascal语言】

博主主页&#xff1a;Yu仙笙 专栏地址&#xff1a;洛谷千题详解 目录 题目描述 输入格式 输出格式 输入输出样例 解析&#xff1a; C源码&#xff1a; Python源码&#xff1a; Pascal源码&#xff1a; Java源码&#xff1a; -------------------------------------------------…

苯丙氨酸甲酯双三氟甲基磺酰亚胺[PheC1][Tf2N]氨基酸酯离子液体

苯丙氨酸甲酯双三氟甲基磺酰亚胺[PheC1][Tf2N]氨基酸酯离子液体 纯度&#xff1a;95% 外观与形状:液体/固体, 储存:存放于惰性气体之中 应避免湿气 (吸湿) 包装规格(Packing):50g、100g、500g 保存方法&#xff1a;密闭&#xff0c;阴凉&#xff0c;通风干燥处 氨基酸酯…

返回Series或DataFrame中指定列中指定数量的最小值nsmallest()函数

【小白从小学Python、C、Java】 【计算机等级考试500强双证书】 【Python-数据分析】 返回Series或DataFrame中 指定列中指定数量的最小值 nsmallest()函数 [太阳]选择题 下列说法错误的是? import pandas as pd mySeries pd.Series([31, 21, 11]) print("【显示】mySer…

Numpy手撸softmax regression

算法介绍 Softmax 回归&#xff08;或多项逻辑回归&#xff09;是将逻辑回归推广到我们想要处理多个类的情况。 在逻辑回归中&#xff0c;我们假设标签是二元的&#xff1a;y(i)∈{0,1}y^{(i)} \in \{0,1\}y(i)∈{0,1},我们使用这样的分类器来区分两种手写数字。 Softmax 回归…

C#项目实战|人脸识别考勤

此文主要通过WinForm来制作的一个人脸识别考勤打卡程序&#xff0c;有兴趣的小伙伴可以接入到打卡机上。 一、实现流程1.1、创建项目1.2、设计页面1.3、创建应用1.4、获取Token及参数解析1.5、与人脸数据比对并展示一、实现流程 1.1、创建项目 打开Visual Studio&#xff0c;右…

值得入手的键盘——Keychron K8 Pro

目录 一、前言 二、介绍 三、上手体验 四、总结 一、前言 在如今&#xff0c;外设产品市场相当火爆的时代&#xff0c;拥有诸多知名的品 牌&#xff0c;而一个新品牌要在竞争非常激烈的情况下站稳脚跟&#xff0c;实属不易。诞生于2017年的 Keychron 以其品质作为高端战略…

【mcuclub】舵机-SG90

一、实物图&#xff08;SG90&#xff09; 二、原理图 编号名称功能1GND电源地&#xff08;棕色线&#xff09;2VCC电源正&#xff08;红色线&#xff09;3I/O信号线&#xff08;黄色线&#xff09; 三、简介 舵机&#xff08;英文叫Servo&#xff09;&#xff0c;是伺服电机的…

WINDOWS核心编程--Windows程序内部运行机制

现代的桌面应用基本上很少使用原始的 Windows API 进行开发了&#xff0c;因为使用原始 API 堆砌出来的应用代码逻辑非常繁琐&#xff0c;特别是窗口消息的处理非常不方便&#xff0c;大多数直接使用 C# 或者 QT 这种跨平台的开发库&#xff0c;而那种直接封装 Windows API 而存…

C语言经典题目之青蛙跳台阶问题

目录 一、问题描述 二、问题分析 1.当n1时 2.当n2时 3.当n3时 4.n4&#xff0c;n5........nn时 三、代码实现 总结 一、问题描述 一只青蛙一次可以跳上 1 级台阶&#xff0c;也可以跳上2 级。求该青蛙跳上一个n 级的台阶总共有多少种跳法。 二、问题分析 青蛙跳台阶&a…

Spring-Aop面向切面编程

文章目录一、简介1、作用2、AOP核心概念3、五种&#xff08;增强&#xff09;通知类型二、AOP入门小案例&#xff08;注解版&#xff09;1.导入坐标(pom.xml)2.制作连接点(原始操作&#xff0c;Dao接口与实现类)3:定义通知类和通知4:定义切入点5:制作切面6:将通知类配给容器并标…

【Linux】第十一章 进程信号(概念+产生信号+阻塞信号+捕捉信号)

&#x1f3c6;个人主页&#xff1a;企鹅不叫的博客 ​ &#x1f308;专栏 C语言初阶和进阶C项目Leetcode刷题初阶数据结构与算法C初阶和进阶《深入理解计算机操作系统》《高质量C/C编程》Linux ⭐️ 博主码云gitee链接&#xff1a;代码仓库地址 ⚡若有帮助可以【关注点赞收藏】…

C++基本知识(二)---函数重载、引用、内联函数、auto关键字

目录 1.函数重载 2.引用 3.内联函数 4.auto关键字(C11) 5.指针空值nullptr(C11) 1.函数重载 重载函数是函数的一种特殊情况&#xff0c;为方便使用&#xff0c;C允许在同一范围中声明几个功能类似的同名函数&#xff0c;但是这些同名函数的形式参数&#xff08;指参数的个…

CEC2015:(二)动态多目标野狗优化算法DMODOA求解DIMP2、dMOP2、dMOP2iso、dMOP2dec(提供Matlab代码)

一、cec2015中测试函数DIMP2、dMOP2、dMOP2iso、dMOP2dec详细信息 CEC2015&#xff1a;动态多目标测试函数之DIMP2、dMOP2、dMOP2iso、dMOP2dec详细信息 二、动态多目标野狗优化算法 多目标野狗优化算法&#xff08;Multi-Objective Dingo Optimization Algorithm&#xff0…

瑞吉外卖强化(一):缓存优化

瑞吉外卖强化&#xff08;一&#xff09;&#xff1a;缓存优化瑞吉外卖 缓存优化Redis基本操作短信验证码 缓存实现缓存菜品数据SpringCache常用注解瑞吉外卖 缓存优化 Redis基本操作 redisTemplate需要配置类 这里的 需要对其进行 序列化操作 reidsTeplate.opsForValue().s…

论文精读:Swin Transformer V2: Scaling Up Capacity and Resolution

论文地址:https://arxiv.org/pdf/2111.09883.pdf 代码地址: GitHub - microsoft/Swin-Transformer: This is an official implementation for "Swin Transformer: Hierarchical Vision Transformer using Shifted Windows". Abstract 本篇论文主要致力于解决大型…

TCP三次握手和四次挥手基本知识

一、概述 TCP是面向连接、可靠的、基于字节流的传输层通讯协议。 如何确定一个TCP连接&#xff1a; 目的IP目的端口源IP源端口 二、TCP建立连接 序列号client_isn和server_isn是随机初始化&#xff0c;可以通过netstat -napt来查看网络状态。 为什么建立连接需要三次握手&…

c++哈希(哈希表闭散列线性探测实现)

文章目录0. 前言1. 线性探测2. 线性探测的代码实现2.0 定义2.1 插入实现--Insert2.2 查找实现--Find2.3 删除实现--Erase2.4 仿函数3. 完整代码实现4. 代码测试并运行结果&#xff1a;0. 前言 闭散列&#xff1a;也叫开放定址法&#xff0c;当发生哈希冲突时&#xff0c;如果哈…