【致敬未来的攻城狮计划】第3期 作业汇总贴 + 获奖公布(文末荐书)

news/2024/5/20 18:57:31/文章来源:https://blog.csdn.net/szullc/article/details/131936774

目录

一、写在前面

二、种子学员介绍

三、作业贴汇总

四、小小总结

五、获奖公布

六、学员有话说

七、特别致谢

八、友情荐书


一、写在前面

时间过得真快,距离 【致敬未来的攻城狮计划】第3期 的发起,已经过去有些时间了,让我们一起来看看学员们的学习成果吧。

关于第3期的活动计划,感兴趣的可以参见这里:【已结束】【重磅推出】《致敬未来的工程师计划》,第3期计划圆满结束。。。-CSDN社区

二、种子学员介绍

本期计划一共吸引了(审核通过)8位童鞋加入学习计划,其中不乏嵌入式专业、物联网专业、计算机科学专业、电子兴趣爱好者等,多重身份的朋友。大家的学习氛围非常棒,一起讨论,一起研究,一个月的学习质量可能抵上你一个学期的学习。

以下是他们的简要介绍,欢迎大家去他们的博客主页了解更多;如果对他们的技术感兴趣,非常欢迎做一下桥连接。

【注:以下排名不分前后】

序号昵称简要介绍博客主页备注
1努力点满技能树我是一名大一学生,目前在校学习电力知识,课外在补充编程知识。很高兴能在这里跟大家一同学习成长,期待我们团队能涌现出更多优秀的小伙伴,大家一起加油!努力点满技能树
https://blog.csdn.net/weixin_71098527?type=bbs
参与学员
2Cegaminpoilu大家好!我是一枚刚步入社会的小白,目前从事产品功能测试开发工作,所学专业为机械电子工程。该专业偏向于硬件方向,在校期间没有太多项目经历。做过的小玩意有'调频收音机' '数字时钟' '音乐播放器'……等,毕设在老师帮助下完成了一个信息管理系统的设计,该设计基本实现了实际工况下的数据采集与前端的数据管理,利用硬件对实验机的数据进行采集,而后将采样信息存储到本地库中,前端基于淘宝镜像做了一个对数据实时监测与管理的界面。
个人在校期间几乎每周都会打至少4场不少于2小时的羽毛球,虽然现在时间会比较少了但每个月仍会有3~4次球场拉练。
Cegaminpoilu
Cegaminpoilu_-CSDN博客
参与学员
3魏铁锤爱摸鱼我是全栈工程师魏家一,我最早是做全栈的,但是在2022年我开始接触的嵌入式物联网开发,一次偶然的机会,我参加了OpenHarmony开源共建活动,我通过参加这个活动对嵌入式越来越着迷,一边学习一边参加活动,提交pr,最后成功带领三十多名队员合入pr,拿得小队长评比第五名,以及获得了丰厚的奖品,有了兴趣就不放弃,多学一些东西没有坏处,希望和大家一起努力学习嵌入式!魏铁锤爱摸鱼
魏铁锤爱摸鱼_-CSDN博客
参与学员
4灰海宽松我是物联网专业同学泾箐,目前还在学习嵌入式阶段,有一些编程语言,单片机,操作系统基础,是一名csdn嵌入式方向博主 对控制方向比较感兴趣,期望能和大家多多学习交流!

灰海宽松

灰海宽松_Java,C语言入门(完结),51单片机入门(完结)-CSDN博客

参与学员
5小白菜-10086大家好,我是来自安徽的学习嵌入式方向的张硕,目前还处于学习阶段, 学过一些单片机和android ,单片机有STM32,龙芯,arduino,51觉得物联网方向很有意思,接下来我想学习关于物联网方向的知识,喜欢DIY一些配合单片机有意思的作品,很开心能和各位一起学习,希望接下来可以能和你们一起进步,一起加油。

小白菜-10086
小白菜-10086_-CSDN博客

参与学员
613岁腼腆男孩我是学员任小雨,目前在学习嵌入式方向,芯片学习过stm32,龙芯1B,arduino。操作系统上会使用一点Rtthread。目前是一名学生,喜欢学习新的东西,在学校参加过很多比赛。然后在这里希望和大家一起学习成长,提升自己的学习能力和专业水平.嵌入式学习和实践
嵌入式学习和实践_RT Thread,RT Thread Studio-CSDN博客
参与学员
7abc我记得你好,我是来自安徽的学习嵌入式方向的小白尚耀文,目前还处于学习阶段, 学过一些单片机和语言,单片机有STM32F103,STMF407,Arduino,STC51,语言学过C语言,Python,觉得物联网方向很有意思,接下来我想学习关于物联网方向的知识,喜欢DIY一些配合单片机有意思的作品,很开心能和各位一起学习,希望接下来可以能和你们一起进步,一起加油

abc我记得

abc我记得_-CSDN博客

参与学员
8知我94我是来自安徽省芜湖市芜湖职业技术学院的一名大学生,专注于学习嵌入式领域,利用业余时间diy一些东西,对单片机的知识非常感兴趣。目前学习的知识比较浅薄。希望通过这次机会可以学习更多的知识,让自己的能力变得更加强,对于困难善于坚持解决它克服它,希望可以跟在大佬后面学习更多课堂所接触不到的知识。知我94
知我94_-CSDN博客
参与学员

三、作业贴汇总

见下表所示,以下排名不分前后,累计有几十篇篇帖子输出:

序号昵称作业贴汇总(因帖子太多,不便帖全面,每位学员我挑选一篇出来)李肯点评
1努力点满技能树【致敬未来的攻城狮计划】第3期挑战学习打卡30天(6/30):使用 RASC 生成 Keil 工程_努力点满技能树的博客-CSDN博客该学员一共完成了6篇博文的输出,打卡了5次,但未能坚持连续打卡,所以并不能获得加分激励。从输出的博文内容来看,相对来说,都是篇理论的东西,很多博文都是大篇幅摘抄了datasheet或者教程文档,稍有看到自己编写的代码,或者自己调试出来的功能演示,缺乏说服力,希望在后续的学习中,能够加强实践能力,进一步提升自己的技术实力。
2Cegaminpoilu【致敬未来的攻城狮计划】 连续打卡第6天 __ GPIO输入检测-CSDN社区

该学员整体来说,学习较浅。从输出的若干打卡内容来看,内容粗浅,应该是未实际参与一些工程的自我构建和调试,能拿出的有效内容也是比较少。希望后续有参加其他的学习计划,能够更加用心地投入学习,加油。

3魏铁锤爱摸鱼暂无因无暇参与学习计划,博客主页和社区未有博文输出,无法评价。
4灰海宽松【致敬未来的攻城狮计划】打卡5:活动总结-CSDN社区该学员在学习计划的前期参与并不多,在学习后半段才出力学了一些东西;从输出的博文来看,基本完成了环境搭建、LED点亮、按键检测等基础内容,基本完成了预设的学习目标。但我希望的远远不够,可以深入学习更多,也衷心希望这个学习计划不是结束,而是一个新的开始,投入新的学习区中,一定能让自己收获更多。加油。
5小白菜-10086致敬未来的攻城狮计划】连续打卡第十天:外部中断-CSDN社区该学员学习还是比较勤快,从还未拿到开发板到开发板到位后,都在学习一些理论知识及自己动手调试实际的应用程序,这一点是值得肯定的;从输出的博文内容看,基本覆盖了开发环境搭建、点亮LED、串口、定时器、I2C、ADC、DMAC、WDT等基础模块,不过有些模块看似只有理论学习,并没有动手调试,希望后续能够看到更多实际调试的案例。在学习打卡这一块,能够坚持一定的天数,但未能坚持够15天,也是一个小小遗憾,希望后续的学习能够更加努力,专业技能更上一层楼。
613岁腼腆男孩【致敬未来的攻城狮计划】— 打卡3:入门点亮LED灯_13岁腼腆男孩的博客-CSDN博客该学员投入学习的内容较少,从输出的博文数量上看,刚好满足学习目标,而从博文的具体内容上看,又稍微显得有点空洞,没有太多自我学习的体现;希望后续再参加类似的学习计划中,能够投入更多的学习劲,努力提升自己的技术实力。加油。
7abc我记得【致敬未来的攻城狮计划】— 连续打卡第六天:串口通信-CSDN社区该学员学习的内容也是较为丰富,能够覆盖到开发环境搭建、LED灯操作、GCC、串口、中断、定时器、DAC、I2C、DMAC/DTC等核心模块,并将它们的理论知识结合起来,体现在自己的博客中,这是一个不错的实践。再看博文的整体格式和内容,还是写得比较规范,有代码和展示图片,看得出来有花一定的心思去学习,也是希望能够再接再厉,接着把RA2E!这个开发板给学深入下去。加油。
8知我94【致敬嵌入式攻城狮第三期活动预热征文】【致敬未来工程师计划】打卡第一天阅读官方使用手册_知我94的博客-CSDN博客该学员积极参与学习计划,并付出毅力参与打卡,完成了连续17天打卡的不错成绩。从输出的博文和帖子来看,该学员完成了开发环境、uart、定时器、外部中断等主要模块,基本达到了学习的目标;希望后续能够坚持学习,把RA2E1开发板给学透,期待学习成果更上一层楼。

四、小小总结

作为第3期举办这样的学习计划,我小小总结一下:

  • 首先,还是很感谢大家能参与到这个学习计划中来;
  • 本期致敬活动,相对来说,我给了大家很大的自主学习性,也是因为社区中已经有大量关于RA2E1这块板子的学习资料,我相信大家通过一些简单的培训,加上社区的内容就可以很好的去完成自主学习;
  • 为了激励大家积极参与学习打卡,我自己也全程参与30天连续打卡的挑战,最后我挑战成功了,我也为我自己感到骄傲;
  • 本次致敬活动,第一次采用付费押金制,目的不是为了赚大家的钱,而是希望大家有押金的压力,而迫使自己更深心地投入到开发板的学习中去;希望大家都能取得不错的学习成果;
  • 另一方面,在这一期中,我发现大家并没有把学习备忘录给用好,希望后续大家能够养成备忘录的习惯吧;
  • 从最后的学习成果来看,绝大部分学员都能够积极参与学习并完成基础的学习目标,也希望大家能够再接再厉,在将来的学习中更上一层楼。

五、获奖公布

根据 【致敬未来的攻城狮计划】任务提交及奖惩措施的相关说明-CSDN社区 的标准,对本期参与的8位童鞋进行定量打分,经核对,选出排名前3位学员,获得优异表彰。

 

详细的得分细节已在学习群内公开。在这里,再次获得优异学员的每一位,可以从 【已结束】【重磅推出】《致敬未来的工程师计划》,第3期计划圆满结束。。。-CSDN社区 挑选一本自己喜爱的书籍,将会包邮送出。如果这里没有自己喜爱的书,可以把赠书名额寄存在我这,后续有喜欢的赠书,可以直接赠送。 

赠书的简介见本文第八章节。

六、学员有话说

本期学习计划完成后,我向大家征求了一份汇总统计,一起来看看大家最真实的投票吧。

根据打分情况,看来我需要提升的地方还不少,大家一起加油。 更多关于学员有话说,请看这里 【致敬未来的攻城狮计划】第3期 学员有话说-CSDN社区

七、特别致谢

最后对本期《致敬未来的攻城狮计划》的圆满收官,说一声感谢,特别要谢谢以下朋友(平台):

  • 瑞萨MCU的Andy,对本次学习计划的学习开发板的大量供应;
  • 机械工业出版社的旺财哥,对本次3名优异学员的技术图书的大力赞助;
  • CSDN平台对本次学习计划的承办,提供了博客平台和社区平台的必要支持,并对活动的推广曝光帮助非常大,感谢CSDN的邹总、王总、范博士、萌主,以及运营团队的小伙伴们;也非常感谢CSDN对本次学习计划的大力支持;
  • 最重要的还是要谢谢倾力参与本次学习计划的优秀的你们,谢谢你们的支持与鼓励。

加油,技术人,未来都会变得更加好。

八、友情荐书

本期给大家重点推荐优秀学员获赠的两本书,都是非常棒的技术专业书。

《速学Python:程序设计从入门到进阶》

京东购买链接:https://item.jd.com/10069896859885.html

内容简介

《速学Python:程序设计从入门到进阶》面向没有任何编程基础的初学者。

全书共9章,

第1、2章以尽可能少的篇幅,完成了对编程环境的搭建、编程的基本概念、Python语法、数据结构、面向对象编程技巧的讲述,这一部分内容虽然简单,但它对初学者非常重要,只有完成这一部分内容的学习,才能够继续深入。

第3~6章是对第1、2章内容的深入与补充,主要是搭建更好的开发环境,更详细地讲述数据结构与函数,并通过编写一个计算器程序,深入了解解释器的基本工作原理以及面向对象编程。

第7~9章讲述了如何创建程序的可视化界面,将Python程序打包为可执行程序并使用主流数据库进行数据存储,继而实现数据分析与数据图表的生成。第9章是Python语言*大优势的体现,通过引入第三方库或调用网络接口,可以快速完成应用程序的编写。

本书适合刚接触Python的初学者以及希望使用Python处理和分析数据的读者,也可作为编程爱好者学习和使用Python的工具书或参考资料。


《Linux安全实战》

京东购买链接:https://item.jd.com/13727671.html

内容简介

本书聚焦Linux网络安全,强调实战。全书共13章,从网络概念引入,分别介绍了网络相关的基础知识、虚拟专用网络、网络防火墙、网络分析工具、用户的权限、文件系统、软件包、应用安全、安全扫描工具、备份重要数据、入侵检测技术、日志与审计工具,以及生产环境中的用户权限管理的综合案例,兼顾了理论和实践操作。
本书将Linux网络安全方面的核心知识与实际应用案例相结合,配备了大量实操案例,读者可以一边阅读一边操作,遇到一些重点、难点均有对应的视频讲解,利用手机扫描案例旁的二维码即可实时观看。本书针对知识点配有具体的“实操”案例,供读者练习;每章还精心准备了“实战案例”,让读者对本章所学知识进行巩固练习;设置“专家有话说”和“知识拓展”环节,帮助读者拓展知识面;同时,本书还提供了程序源代码、教学视频及授课用PPT等丰富的学习资源,帮助读者更好地学习Linux网络安全技术的核心知识。
本书涵盖了Linux不同难度的网络安全实战案例,适合网络安全工程师、Linux运维人员、软件开发人员、系统管理员以及大中专院校计算机相关专业师生等读者阅读。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.luyixian.cn/news_show_146841.aspx

如若内容造成侵权/违法违规/事实不符,请联系dt猫网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

opencv对相机进行畸变矫正,及矫正前后的坐标对应

文章目录 1.背景2.需求分析3.解决方案3.1.镜头畸变矫正3.2.知道矫正后的画面坐标(x,y),求其在原画面的坐标(x,y)3.2.知道原画面坐标(x1,y1),求其在…

jQuery的DOM操作之笔记总结

jQuery的DOM操作之笔记总结 首先我们来介绍一下什么是DOM 简述: 1.DOM全称Document Object Model(文档对象模型)。 2.每个文档都是一棵DOM结构的树,文档里的很多元素,就像树上的很多节点,或是分叉的树枝…

集成学习——Boosting算法:Adaboost、GBDT、XGBOOST和lightGBM的简要原理和区别

1、Boosting算法 Boosting算法是通过串联的方式,将一组弱学习器提升为强学习器算法。它的工作机制如下: (1)用初始训练集训练出一个基学习器; (2)依据基学习器的表现对训练样本分布进行调整&…

WPF实现DiagramChart

1、文件架构 2、FlowChartStencils.xaml <ResourceDictionary xmlns"http://schemas.microsoft.com/winfx/2006/xaml/presentation"xmlns:x"http://schemas.microsoft.com/winfx/2006/xaml"xmlns:s"clr-namespace:DiagramDesigner"xmlns:c&…

算法通关村第一关-链表白银挑战笔记|公共子节点

两个链表公共子节点问题 提示&#xff1a;大家都在做什么&#xff1f; 不做什么。就是等夏天结束 文章目录 两个链表公共子节点问题前言题目&#xff1a;提供四种解决方法的思路&#xff1a;拿到题目要怎么思考&#xff1a;审题哈希表或集合实现使用栈来实现拼接字符串实现 (组…

短视频矩阵源码开发搭建分享--多账号授权管理

目录 文章目录 前言 一、矩阵号系统是什么&#xff1f; 二、使用步骤 1.创建推广项目 2.多账号授权 3.企业号智能客服系统 总结 前言 短视频多账号矩阵系统&#xff0c;通过多账号一键授权管理的方式&#xff0c;为运营人员打造功能强大及全面的“矩阵式“管理平台。…

从零构建深度学习推理框架-1 简介和Tensor

源代码作者&#xff1a;https://github.com/zjhellofss 本文仅作为个人学习心得领悟 &#xff0c;将原作品提炼&#xff0c;更加适合新手 什么是推理框架&#xff1f; 深度学习推理框架用于对已训练完成的神经网络进行预测&#xff0c;也就是说&#xff0c;能够将深度训练框…

UE虚幻引擎教程_生成云平台指定路径下的exe文件

市面上大量优秀的游戏都是基于UE制作的&#xff0c;UE虚幻引擎制作的作品可以在windows、mac、linux以及ps4、x-boxone、ios、android甚至是html5等平台上运行。本文介绍了UE虚幻引擎如何生成云平台指定路径下的EXE。 一、云平台会运行打包文件夹下指定路径的EXE文件 但有时候…

【多选框、表格全选】element el-checkbox、el-table

话不多说 先看效果&#xff1a; 多选框&#xff1a; 表格全选&#xff1a; <template><div><div class"titleLabel"><div class"lineStyle"></div>统计部门</div><div style"display: flex"><e…

项目开启启动命令整合

启动RabbitMQ管理插件 1.启动 RabbitMQ 管理插件。 rabbitmq-plugins enable rabbitmq_management rabbitmq-server # 直接启动&#xff0c;如果关闭窗⼝或需要在该窗⼝使⽤其他命令时应⽤就会停⽌ rabbitmq-server -detached # 后台启动 rabbitmq-server start # 启⽤服务 rab…

(二)安装部署InfluxDB

以下内容来自 尚硅谷&#xff0c;写这一系列的文章&#xff0c;主要是为了方便后续自己的查看&#xff0c;不用带着个PDF找来找去的&#xff0c;太麻烦&#xff01; 第 2 章 安装部署InfluxDB 1、linux 安装方式如下 通过包管理工具安装&#xff0c;比如apt 和yum直接下载可执…

springboot()—— 集成redis

1、新建一个springboot项目 2、添加redis依赖包 可以在新建项目的时候就选上 也可以建完项目以后手动导入pom.xml <dependency><groupId>org.springframework.boot</groupId><artifactId>spring-boot-starter-data-redis</artifactId> </d…

2023年基准Kubernetes报告:6个K8s可靠性失误

云计算日益成为组织构建应用程序和服务的首选目的地。尽管一年来经济不确定性的头条新闻主要集中在通货膨胀增长和银行动荡方面&#xff0c;但大多数组织预计今年的云使用和支出将与计划的相同&#xff08;45%&#xff09;&#xff0c;或高于计划的&#xff08;45%&#xff09;…

装饰模式-扩展系统功能

买了新车后&#xff0c;不少人会对车进行装饰&#xff0c;比如给车贴膜&#xff0c;喷上骚粉的漆等。某天&#xff0c;小李和小张都买了辆车&#xff0c;小李想给车贴膜&#xff0c;小张想给车先喷漆然后再贴膜。现在中的做法是&#xff0c;把车开到改装店&#xff0c;如果要喷…

浏览器调试Android App

浏览器调试Android App 1. 背景2. 调试工具3. 手机设置4. 打开浏览器(edge)5. 连接手机6. 点击inspect 开始调试 1. 背景 在工作中经常会遇到在原生app中嵌套h5&#xff0c; 但是在某些需要在app里面调试的内容&#xff0c; 却没有像chrome开发者工具这样的工具来帮助我们快速…

react 在build读取env 数据

默认会读取.env 文件 npm install dotenv --save npm install dotenv-cli --save-dev例如读取.env.test "build:test": "dotenv -e .env.test react-app-rewired build",.env.test REACT_APP_CURRENTMODE devREACT_APP_Public_Path "https://baid…

[NLP]使用Alpaca-Lora基于llama模型进行微调教程

Stanford Alpaca 是在 LLaMA 整个模型上微调&#xff0c;即对预训练模型中的所有参数都进行微调&#xff08;full fine-tuning&#xff09;。但该方法对于硬件成本要求仍然偏高且训练低效。 [NLP]理解大型语言模型高效微调(PEFT) 因此&#xff0c; Alpaca-Lora 则是利用 Lora…

算法竞赛入门【码蹄集新手村600题】(MT1040-1060)

算法竞赛入门【码蹄集新手村600题】(MT1040-1060&#xff09; 目录MT1041 求圆面积和周长MT1042 求矩形的面积和周长MT1043 椭圆计算MT1044 三角形面积MT1045 平行四边形MT1046 菱形MT1047 梯形MT1048 扇形面积MT1049 三角形坐标MT1050 空间三角形MT1051 四边形坐标MT1052 直角…

Java通过URL对象实现简单爬虫功能

目录 一、URL类 1. URL类基本概念 2. 构造器 3. 常用方法 二、爬虫实例 1. 爬取网络图片&#xff08;简易&#xff09; 2. 爬取网页源代码 3. 爬取网站所有图片 一、URL类 1. URL类基本概念 URL&#xff1a;Uniform Resource Locator 统一资源定位符 表示统一资源定位…

day39-Password Strength Background(密码强度背景)

50 天学习 50 个项目 - HTMLCSS and JavaScript day39-Password Strength Background&#xff08;密码强度背景&#xff09; 效果 index.html <!DOCTYPE html> <html lang"en"><head><meta charset"UTF-8" /><meta name&quo…